Advanced lithography materials: From fundamentals to applications

As one of the cornerstones of modern technology development, chips are widely used in areas such as communication devices, medical testing, energy vehicles, artificial intelligence (AI), and quantum computing due to their miniaturized circuitry [[1], [2], [3]]. From the early days of semiconductor manufacturing, lithography has been considered the driving force for the development of integrated circuit manufacturing processes. Until today, lithography is still committed to integrating more devices and circuits on a chip, and this trend continues [4]. However, the advancement of lithography from the fabrication of structures of a few micrometers to the fabrication of today's very high-resolution nanopatterns of a few nanometers has required a great deal of effort, including the combined advancement of photoresist materials, light sources, and photomasks [5,6]. Among them, photoresist materials have been a determining factor in the advancement of lithography, because the essence of lithography is to transfer nanostructures to the photoresist layer on the substrate by exposure, which can then be transferred to the substrate by etching. Therefore, the research of photoresists is to improve the many properties of photoresists through material design, formulation optimization and lithography process adjustment, and to a certain extent tolerate and coordinate with each other to meet the requirements of the advanced lithography process. Among these performance indicators, the three most important ones are resolution, line roughness and sensitivity, also known as “RLS” [4].

The revolution in chips requires a revolution in materials. According to Rayleigh's criterion, light sources with shorter wavelengths are applied to fabricate nanostructures with smaller feature sizes [7]. Chip fabrication has long relied on deep ultraviolet light sources (DUV) and polymer photoresists based on chemical amplification methods. Today, EUVL has been intensively studied, and the semiconductor industry now expects that EUVL can effectively meet the needs of the 1.5 nm node, whereas the development of photoresist materials is lagging behind [[8], [9], [10]]. On the one hand, it may be difficult for polymeric materials to further advance the fabrication of sub-10 nm nanostructures, which are close to the building block size in terms of resolution, due to the inherently large molecular size of polymer molecules [11]. On the other hand, the inherent molecular weight distribution of polymers, as well as the longer molecular chain segments, can lead to defects in the nanostructures during development, which in turn can lead to an increase in line roughness [12]. Another fact is that in the past, when the lithography light source was switched from ultraviolet (UV) to deep UV, researchers mainly adjusted the molecular structure to increase the absorption of photons by the photoresist at the new wavelength [13]. However, when the light source was switched to extreme ultraviolet (EUV) at 13.5 nm, unprecedented material improvement challenges arose. Because the multiple components of the EUVL optical system allow for low light energy utilization (~2%), materials must be designed to be highly absorbent of photons at this wavelength [14]. However, unlike UV and DUV, EUV absorption depends on the absorption cross-section of the atoms rather than the molecular structure, and since the commonly used elements carbon and silicon have low EUV absorption, elements with high absorption cross-sections must be introduced [15]. Another problem is that the energy of the photon of EUV (91.6 eV) is much higher than those of other radiation sources, such as DUV (~6 eV). This leads to a change in the interaction of the photoresist in the exposed region and does not initiate “classical” photochemistry but rather ejects electrons from the valence band or from some inner core orbitals into the continuum, leading to ionization of molecules [16]. The ejected photoelectrons have excess kinetic energy, which is transferred to the environment by inelastic scattering events. During scattering, more molecules are ionized to produce secondary electrons with a wide kinetic energy distribution in the range of 0–80 eV. Thus, EUV photon-induced chemical reactions are the result of electron-induced chemical reactions and ionized molecular chemical reactions [[17], [18], [19], [20], [21]]. Moreover, the surge in photon energy means that the number of photons emitted by the EUV is dramatically reduced compared to the DUV for the same power, leading to the problem of so-called “Stochastic effects” that can seriously damage the quality of the pattern formed [[22], [23], [24], [25], [26]]. In addition, due to the high viscosity of the polymer molecules and the need for etch resistance, the thickness of the deep UV photoresist layer is typically in the sub-micron range, and thus the excessive aspect ratio of the nanostructures tends to cause the structure to collapse when the nanostructure size is reduced to sub-10 nm [9,27]. In conclusion, there is an urgent need to develop photoresists based on new materials to overcome these limitations and improve resolution.

In recent years, some reviews have appeared that summarize the lithography materials that have emerged, focusing on various material types, including those not suitable for advanced lithography; however, as research into advanced lithography materials continues, a number of promising materials need to be highlighted [7,28]. This paper summarizes the lithography materials used in the past decades based on the three main lithography mechanisms in the development history of lithography materials, with a focus on reviewing those advanced lithography materials with the potential to achieve sub-10 nm lithography(Fig. 1). In addition, methods for the application of lithography materials in a broader range of fields are described. Finally, outstanding challenges in advanced lithography research and development are discussed.

留言 (0)

沒有登入
gif